Skip to main content

Questions tagged [xilinx]

A popular manufacturer of FPGAs (Field Programmable Gate Arrays) and CPLDs (Complex Programmable Logic Devices).

1 vote
0 answers
38 views

Stabilizing ODELAY_VALUE related to IODELAY2 module in Spartan6 SLX9 FPGA Design for SDRAM Interface

I'm working on an FPGA design for the Spartan6 SLX9, which includes a memory controller for off-chip Micron SDRAM. To introduce a delay on the clock signal to the SDRAM relative to the Data/Command ...
Md.shah's user avatar
  • 31
0 votes
1 answer
70 views

How to use FPGA system clock for my design in vivado?

Problem is my device don't use system clock generator (what me need) for synchronization,but use clock signal is generated by TestBench what connected via external I/O ports of FPGA. I have ...
Vladislav Butko's user avatar
0 votes
1 answer
48 views

Alignment characters in the JESD204B standard

I have a question regarding the alignment characters in the JESD204B data converter interface protocol. To anyone who is familiar with this protocol. There are certain alignment that are used during ...
fisherman's user avatar
0 votes
0 answers
131 views

Can't solve this Vivado synthesis problem - Any help?

I have a fairly complex design that has been verified on ILA debugger and put together as an IP and it appears to work perfectly. The design is running on a Virtex-7 VC709 FPGA board. It does have a ...
David777's user avatar
  • 1,555
0 votes
0 answers
52 views

How to remove or prevent automatically generated (* KEEP_HIERARCHY = "soft" *) in Vivado?

Now I'm debugging due to an unexpected working during simulation. For example: ...
Carter's user avatar
  • 619
0 votes
0 answers
32 views

AMD/Xilinx SystemVerilog class variables dissapear in script vs. project simulaiton

I have asked this question on Stackoverflow but not answer yet. So, let me try EE stackexchange forum. While scripting one of the SystemVerilog class-based testbenches I noticed that the testbench (...
My Name's user avatar
0 votes
0 answers
55 views

Virtex-7 FPGA Clock Constraint Configuration

I am moving from entry level FPGA such as Artix-7 (BASYS-3 board) to using a Virtex-7 (VC709 board). I have a question about how to setup the constraints file for the main clock of the Virtex-7 FPGA. ...
David777's user avatar
  • 1,555
1 vote
1 answer
58 views

Verilog: How do I assign multidimensional arrays as outputs in my module

I am writing my Verilog module in Xilinx Vivado. I am actually dealing with 2D arrays. I want to add elements from one array to another in the following way. For Example: ...
Khadeer Bin Kashif's user avatar
1 vote
0 answers
27 views

Simulating and verifying DDR3L clock

Context I am working on a PCB that hosts a Zynq Ultrascale+ SoC and has 9 DDR3L SDRAMs (MT41K512M8DA) operating at 1866Mbps, and I have to verify the signal integrity of the DDR3L interface. I am ...
tklu123's user avatar
  • 11
3 votes
1 answer
83 views

How to Start Simulation (in specific turtorial) in Vivado with Custom FIR Using Xilinx DDS?

I’m following a guide (Here is the link to the guide: https://www.hackster.io/whitney-knitter/dsp-for-fpga-using-xilinx-dds-with-custom-fir-f82447) for implementing a custom FIR filter in Vivado and ...
Stuck_Between_Pixels's user avatar
1 vote
1 answer
92 views

How to see the connections of each flip-flop in Vivado RTL schematic view?

I am trying to make the design shown below which is basically a shift register: When I elaborate this design in Vivado, it shows me the following: How can I see which flip-flops the inputs and ...
nullator's user avatar
-1 votes
1 answer
131 views

How do I initialise an Unpacked array in Verilog?

I need to initialize multiple lookup tables, for which I need a 12-bit array of possibly many indexes. An example: reg [11:0] address[1:0]; For this, how do I ...
DaveFenner's user avatar
-1 votes
1 answer
122 views

Populating BRAM using a .coe file on Xilinx Alveo U280

I am new to the world of FPGAs. I am using Xilinx Alveo U280. While performing a task in my research project, I tried to populate the BRAM with 0's but the simulation shows 'Z', 'ZZ', and 'ZZZZ' as ...
afterlifeswag04's user avatar
0 votes
1 answer
176 views

Vivado Ethernet IP core licensing issue

I have a PL design in which I included a 10G/25G Ethernet Subsystem IP core from Xilinx configured with BASE-KR, AN/LT logic and FEC logic for Clause 74. When I try to generate the bitstream, I am ...
Roy Meijer's user avatar
1 vote
1 answer
197 views

How to change the FPGA supply voltage (VCCint and VCCBRAM) beyond recommended operating conditions on Vivado?

​ I am new to the field of FPGAs. The FPGA I am using is Virtex-7 VC707 -2 speed grade. In my research project, I am required to reduce the supply voltage (BRAM's specifically) to a low value, say 0.7 ...
afterlifeswag04's user avatar

15 30 50 per page
1
2 3 4 5
���
49