Pradiptya Ghosh

San Francisco Bay Area Contact Info
1K followers 500+ connections

Join to view profile

About

KEY ACHIEVEMENTS
1. Built a cross-functional team spread over seven sites…

Experience & Education

  • AeroVironment

View Pradiptya’s full experience

See their title, tenure and more.

or

By clicking Continue to join or sign in, you agree to LinkedIn’s User Agreement, Privacy Policy, and Cookie Policy.

Licenses & Certifications

Volunteer Experience

  • Vice Chair, Board Of Directors

    SJSU MESA Schools Program

    - Present 10 years 3 months

    Science and Technology

    Established in 1970, the Mathematics, Engineering, Science Achievement (MESA) continues to help excite, motivate, and prepare students in California for their STEM careers. The MESA Schools Program has been on the San Jose State University campus since 1979 to serve the students from 6th – 12th grade in Silicon Valley, helping students who are under-represented and educationally disadvantaged to become engineers, scientists and other math-based professionals needed by Silicon Valley industry.

  • Participant

    The AI Initiative @ The Future Society

    - 1 year

    Civil Rights and Social Action

    The Future Society coordinated an unprecedented 7-month civic consultation open to the public to better understand the rise, dynamics and consequences of AI (www.aicivicdebate.org).

    Engineered through the online collective intelligence platform Assembl and over 20 global events, we assembled a diverse community of over 2,000 participants from around the world, with over 3,300 contributions in five languages, to garner novel perspectives on the governance of AI. These novel perspectives…

    The Future Society coordinated an unprecedented 7-month civic consultation open to the public to better understand the rise, dynamics and consequences of AI (www.aicivicdebate.org).

    Engineered through the online collective intelligence platform Assembl and over 20 global events, we assembled a diverse community of over 2,000 participants from around the world, with over 3,300 contributions in five languages, to garner novel perspectives on the governance of AI. These novel perspectives are synthetized in Global Civic Debate report that was released during an event on the 26th of September at the European Parliament!

Publications

  • 14nm M1 triple patterning

    Proc. SPIE 8326, 832612 (2012)

    With 20nm production becoming a reality, research has started to focus on the technology needs for 14nm. The LELE double patterning used in 20nm production will not be able to resolve M1 for 14nm. Main competing enabling technologies for the 14nm M1 are SADP, EUV, and LELELE (referred as LE3 thereafter) triple patterning. SADP has a number of concerns of 1. density, as a layout geometry needs to stay complete as a whole, and can not be broken; 2. the complexity in SADP mask generation and debug…

    With 20nm production becoming a reality, research has started to focus on the technology needs for 14nm. The LELE double patterning used in 20nm production will not be able to resolve M1 for 14nm. Main competing enabling technologies for the 14nm M1 are SADP, EUV, and LELELE (referred as LE3 thereafter) triple patterning. SADP has a number of concerns of 1. density, as a layout geometry needs to stay complete as a whole, and can not be broken; 2. the complexity in SADP mask generation and debug feedback to designers; 3. the subtraction nature of the trim mask further complicates OPC and yield. While EUV does not share those concerns, it faces significant challenges on the manufacturing equipment side. Of the SADP concerns, LE3 only shares that of complexity involved in mask generation and intuitive debug feedback mechanism. It does not require a layout geometry to stay as a whole, and it benefits from the affinity to LELE which is being deployed for 20nm production. From a process point of view, this benefit from affinity to LELE is tremendous due to the data and knowledge that have been collected and will be coming from the LELE deployment. In this paper, we first recount the computational complexity of the 3-colorability problem which is an integral part of a LE3 solution. We then describe graph characteristics that can be exploited such that 3-colorability is equivalent under divide-and-conquer. Also outlined are heuristics, which are generally applied in solving computationally intractable problems, for the 3-colorability problem, and the importance in choosing appropriate worst-case exponential runtime algorithms. This paper concludes with a discussion on the new hierarchical problem that faces 3-colorability but not 2-colorability and proposals for non-3-colorability feedback mechanism.

    Other authors
    See publication
  • Predictable turn-around time for post tape-out flow

    Proc. SPIE 8326, 83262D (2012)

    A typical post-out flow data path at the IC Fabrication has following major components of software based processing - Boolean operations before the application of resolution enhancement techniques (RET) and optical proximity correctin (OPC), the RET and OPC step [etch retargeting, sub-resolution assist feature insertion (SRAF) and OPC], post-OPCRET Boolean operations and sometimes in the same flow simulation based verification. There are two objectives that an IC Fabrication tapeout flow…

    A typical post-out flow data path at the IC Fabrication has following major components of software based processing - Boolean operations before the application of resolution enhancement techniques (RET) and optical proximity correctin (OPC), the RET and OPC step [etch retargeting, sub-resolution assist feature insertion (SRAF) and OPC], post-OPCRET Boolean operations and sometimes in the same flow simulation based verification. There are two objectives that an IC Fabrication tapeout flow manager wants to achieve with the flow - predictable completion time and fastest turn-around time (TAT). At times they may be competing. There have been studies in the literature modeling the turnaround time from historical data for runs with the same recipe and later using that to derive the resource allocation for subsequent runs. [3]. This approach is more feasible in predominantly simulation dominated tools but for edge operation dominated flow it may not be possible especially if some processing acceleration methods like pattern matching or hierarchical processing is involved. In this paper, we suggest an alternative method of providing target turnaround time and managing the priority of jobs while not doing any upfront resource modeling and resource planning. The methodology then systematically either meets the turnaround time need and potentially lets the user know if it will not as soon as possible. This builds on top of the Calibre Cluster Management (CalCM) resource management work previously published [1][2]. The paper describes the initial demonstration of the concept.

    Other authors
    • Toshikazu Endo
    • Minyoung Park
    See publication
  • Double patterning from design enablement to verification

    Proc. SPIE 8166, 81660X (2011); doi:10.1117/12.898895

    Litho-etch-litho-etch (LELE) is the double patterning (DP) technology of choice for 20 nm contact, via, and lower metal layers. We discuss the unique design and process characteristics of LELE DP, the challenges they present, and various solutions. ○ We examine DP design methodologies, current DP conflict feedback mechanisms, and how they can help designers identify and resolve conflicts. ○ In place and route (P&R), the placement engine must now be aware of the assumptions made during IP cell…

    Litho-etch-litho-etch (LELE) is the double patterning (DP) technology of choice for 20 nm contact, via, and lower metal layers. We discuss the unique design and process characteristics of LELE DP, the challenges they present, and various solutions. ○ We examine DP design methodologies, current DP conflict feedback mechanisms, and how they can help designers identify and resolve conflicts. ○ In place and route (P&R), the placement engine must now be aware of the assumptions made during IP cell design, and use placement directives provide by the library designer. We examine the new effects DP introduces in detail routing, discuss how multiple choices of LELE and the cut allowances can lead to different solutions, and describe new capabilities required by detail routers and P&R engines. ○ We discuss why LELE DP cuts and overlaps are critical to optical process correction (OPC), and how a hybrid mechanism of rule and model-based overlap generation can provide a fast and effective solution. ○ With two litho-etch steps, mask misalignment and image rounding are now verification considerations. We present enhancements to the OPCVerify engine that check for pinching and bridging in the presence of DP overlay errors and acute angles.

    Other authors
    See publication
  • Hierarchical DPT mask planning for contact layer

    Proc. SPIE, Vol. 7520, 75201O (2009)

    LELE/LFLE based double patterning (DPT) with ArF water-based immersion systems has emerged as a strong candidate to first extend lithography to 32nm and below. Mask planning for DPT consists of conflict visualization when design is not manufacturable with DPT and mask assignment either when it is or despite it is not. Concurrent with the advancements in double patterning process, there has been active research [1] [2] [3] [4] addressing the problem of mask planning. As geometries across the…

    LELE/LFLE based double patterning (DPT) with ArF water-based immersion systems has emerged as a strong candidate to first extend lithography to 32nm and below. Mask planning for DPT consists of conflict visualization when design is not manufacturable with DPT and mask assignment either when it is or despite it is not. Concurrent with the advancements in double patterning process, there has been active research [1] [2] [3] [4] addressing the problem of mask planning. As geometries across the chip can potentially involve in the same conflict, DPT decomposition has been recognized as unbounded [5] [4]. We will show in this paper that the unbounded nature of a potential conflict drawing in geometries from across the chip, however, poses little obstacle to efficient conflict visualization or mask assignment. Hierarchy already present in design offers different levels of abstraction for conflicts spanning across various levels of the hierarchy. And pseudo hierarchy from tiles of fully flattened design are even more amenable in that they are already positioned with respect to the flat view, and tiles overlap only marginally when they do. While there have been ample research literature in the mask assignment problem with respect to geometries within cell or flat view of a design, not much have been published on how hierarchy is addressed or any special handling needed for peculiar complexities arising from the presence of hierarchy [5] [6]. Hierarchy adds a subtle but significant dimension to the mask planning problems. This paper investigates contact layer mask planning for DPT, and presents results on two new problems due to hierarchical processing.

    Other authors
    • Qiao Li[Primary] and Pat LaCour
    See publication
  • Deployment of OASIS.MASK (P44) as direct input for mask inspection of advanced photomasks

    Proceedings of the SPIE, Volume 7488, pp. 748823-748823-10 (2009)

    With each new process technology node, chip designs increase in complexity and size, leading to a steady increase in data volumes. As a result, mask data prep flows require more computing resources to maintain the desired turn-around time (TAT) at a low cost. The effect is aggravated by the fact that a mask house operates a variety of equipment for mask writing, inspection and metrology - all of which, until now, require specific data formatting. An industry initiative sponsored by SEMI® has…

    With each new process technology node, chip designs increase in complexity and size, leading to a steady increase in data volumes. As a result, mask data prep flows require more computing resources to maintain the desired turn-around time (TAT) at a low cost. The effect is aggravated by the fact that a mask house operates a variety of equipment for mask writing, inspection and metrology - all of which, until now, require specific data formatting. An industry initiative sponsored by SEMI® has established new public formats - OASIS® (P39) for general layouts and OASIS.MASK (P44) for mask manufacturing equipment - that allow for the smallest possible representation of data for various applications. This paper will review a mask data preparation process for mask inspection based on the OASIS formats that also reads OASIS.MASK files directly in real time into the inspection tool. An implementation based on standard parallelized computer hardware will be described and characterized as demonstrating throughputs required for the 45nm and 32nm technology nodes. An inspection test case will also be reviewed.

    Other authors
    • Zaatri, Suheil[Primary]; Liu, Yan; Asturias, Michael; McCall, Joan; Lei, Wei-Guo J. [Intel] ;
    • Lapidot, Tsafi; Ofek, Khen; Tam, Aviram; Wagner, Mark [AMAT]
    • Bowhill, Amanda; Sahouria, Emile; Park, Minyoung; Debella, Neil; Ghosh,  Steffen [Mentor Gr
    See publication
  • Optimizing computing resources for optimal throughput in a mask data preparation flow

    Proceedings of the SPIE, Volume 7379 (2009)., pp. 73792Y-73792Y-11

    The data volume is increasing exponentially in mask data preparation (MDP) flows for sub-45nm technologies, but time to market drives the acceptable total turnaround time. As a reasonable response, more computing resources are purchased to address these two issues. How to effectively use these resources including the latest CPUs, high-speed networking, and the fastest data storage devices is becoming an urgent problem to solve. A detailed study is conducted in an attempt to find an optimal…

    The data volume is increasing exponentially in mask data preparation (MDP) flows for sub-45nm technologies, but time to market drives the acceptable total turnaround time. As a reasonable response, more computing resources are purchased to address these two issues. How to effectively use these resources including the latest CPUs, high-speed networking, and the fastest data storage devices is becoming an urgent problem to solve. A detailed study is conducted in an attempt to find an optimal solution to this problem. In particular, how CPU speed, bandwidth of network connections, and I/O speed of data storage devices affect the total turnaround time (TAT) in a mask data preparation flow is researched. For a given High Performance Computing (HPC) budget and MDP flow TAT constraints, methodologies to optimize HPC resources are proposed.

    Other authors
    • Zhang, Weidong[Primary]; Bennett, Ron; ; Schulze, Steffen; Bowhill, Amanda
    See publication
  • A New Approach to Abstracting AltPSM Lithography Requirements for IC Design Domains

    Journal Analog Integrated Circuits and Signal Processing, Volume 42 Issue 3

    This paper lays the groundwork for defining the components needed to get clean alternating phase-shifting masks (altPSM) that ensure the manufacturability of subwavelength circuit designs. The authors create an abstract set of rules that can be used to advantage in various IC CAD tool domains. A new methodology and algorithm are presented that can quickly and easily integrate altPSM into existing and future tools earlier in the IC design flow. Finally, experimental results show how the…

    This paper lays the groundwork for defining the components needed to get clean alternating phase-shifting masks (altPSM) that ensure the manufacturability of subwavelength circuit designs. The authors create an abstract set of rules that can be used to advantage in various IC CAD tool domains. A new methodology and algorithm are presented that can quickly and easily integrate altPSM into existing and future tools earlier in the IC design flow. Finally, experimental results show how the methodology and algorithm is used to debug process-aware designs and make them altPSM-compliant.

    See publication
  • PsmLint: Bringing AltPSM to the IC Design Stage

    SPIE conference(2003)

    As we delve deeper into subwavelength design and manufacturing challenges and solutions, technologies such as Optical Proximity Correction (OPC) and Phase Shifting Masks (PSM) have become essential to reliabily produce advanced integrated circuits. Alternating PSM (altPSM) has demonstrated many recent successses as an effective means to this end. This paper lays the groundwork for defining the IC design components needed to meet altPSM-compliance requirements. The paper addresses the open…

    As we delve deeper into subwavelength design and manufacturing challenges and solutions, technologies such as Optical Proximity Correction (OPC) and Phase Shifting Masks (PSM) have become essential to reliabily produce advanced integrated circuits. Alternating PSM (altPSM) has demonstrated many recent successses as an effective means to this end. This paper lays the groundwork for defining the IC design components needed to meet altPSM-compliance requirements. The paper addresses the open question regarding whether we can take into account all the manufacturing requirements and come up with highly abstract manufacturing rules that can be applied to all IC design domains. The paper further proposes a solution with specific rules and algorithms needed to apply altPSM to transistor gate regions, and targeted to various domains of IC design such as verification or place and route. Examples include constraints for routers and placement tools, as well as sign-off rules that can be used by designers as well as by production engineres to fine-tune the process and yield for a given design structure. The usability of such a solution is then analyzed to take the practical aspects of IC design into consideration.

    Other authors
    • Chung-Shin Kang; Michael Sanie; Judy A. Huckabay
    See publication
  • Interconnect-Dominated VLSI Design

    Advanced Research in VLSI, 1999. Proceedings. 20th Anniversary Conference

    This paper demonstrates the problems long, lossy wires pose for VLSI design as devices shrink to deep submicron dimensions. The degree to which both repeater insertion and reverse scaling of wire sizes are required to meet GHz clock frequency projections are estimated using a detailed wire distribution and a detailed processor model (RIPE). We also show how to achieve good floorplans with repeater insertion.

    Other authors
    • Mangaser, R.;   Mark, C.;   Rose, K
    See publication
  • New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains

    : Quality Electronic Design, 2003. Proceedings. Fourth International Symposium on

    Since the semiconductor industry hit the 0.18-micron generation, device feature sizes have become increasingly smaller than the wavelength of light used by available optical-lithography equipment. In this subwavelength arena, manufacturing requirements must be handled up front in the IC design stage-while changes can still be made-to enhance quality and yield. This paper defines the components needed to get clean alternating phase-shifting masks (altPSM) that ensure the manufacturability of…

    Since the semiconductor industry hit the 0.18-micron generation, device feature sizes have become increasingly smaller than the wavelength of light used by available optical-lithography equipment. In this subwavelength arena, manufacturing requirements must be handled up front in the IC design stage-while changes can still be made-to enhance quality and yield. This paper defines the components needed to get clean alternating phase-shifting masks (altPSM) that ensure the manufacturability of subwavelength circuit designs. The authors present a new design for manufacturability (DFM) approach, creating an abstract set of rules that can be used to advantage in various IC CAD tool domains, especially for 100 nm and below design rules. A new methodology and algorithm are presented that can quickly and easily integrate altPSM into existing and future tools earlier in the IC design flow. Finally, experimental results show how the methodology and algorithm is used to debug process-aware designs and make them altPSM-compliant.

    Other authors
    • Chung-Shin Kang;   Sanie, M.;   Pinto, D.;
    See publication

Patents

  • Layout decomposition for triple patterning lithography

    Issued US 8910095

    Aspects of the invention relate to techniques of layout decomposition for triple patterning lithography. Data of a coloring graph are derived from layout data for a layout design. The coloring graph is simplified through graph reduction and graph partitioning processes. The graph partitioning process comprises separating biconnected components. The graph partitioning process may further comprise separating subgraphs connected by one or two edges. Based on the simplified coloring graph, the…

    Aspects of the invention relate to techniques of layout decomposition for triple patterning lithography. Data of a coloring graph are derived from layout data for a layout design. The coloring graph is simplified through graph reduction and graph partitioning processes. The graph partitioning process comprises separating biconnected components. The graph partitioning process may further comprise separating subgraphs connected by one or two edges. Based on the simplified coloring graph, the layout design is decomposed to generate decomposition information. The decomposition process may comprise applying a heuristic method for coloring if needed. The decomposition information may comprise information of one or more layout regions that cannot be decomposed.

    Other inventors
    • qiao li
    See patent
  • Datapath global routing using flexible pins for side exiting buses

    Issued US 6,218,855

    A method for routing a conductive path in an integrated circuit is described. The method includes providing a side exiting bus comprising at least one pin, and providing a plurality of functional units, at least one functional unit having a pin required to be electrically connected to a pin in the side-exiting bus. The method further includes routing a first conductive path from one of the at least one pins in the side exiting bus to a point external to the functional units, the resulting…

    A method for routing a conductive path in an integrated circuit is described. The method includes providing a side exiting bus comprising at least one pin, and providing a plurality of functional units, at least one functional unit having a pin required to be electrically connected to a pin in the side-exiting bus. The method further includes routing a first conductive path from one of the at least one pins in the side exiting bus to a point external to the functional units, the resulting conductive path spanning the width of the plurality of functional units, and routing a second conductive path in a straight line from the at least one pin in the at least one functional unit to a point on the first conductive path.

    Other inventors
    • Robert j Walsh
  • Method for routing conductive paths in an integrated circuit

    Issued US 6,327,697

    A method for routing conductive paths in an integrated circuit, each conductive path having a first pin and a second pin is described herein. The method includes separating at least two conductive paths into groups based on the connection type of each of said conductive paths, the connection type for a given conductive path being determined based on the types of pins at each end of the conductive path, ranking each group based upon how constrained each connection type is relative to each other…

    A method for routing conductive paths in an integrated circuit, each conductive path having a first pin and a second pin is described herein. The method includes separating at least two conductive paths into groups based on the connection type of each of said conductive paths, the connection type for a given conductive path being determined based on the types of pins at each end of the conductive path, ranking each group based upon how constrained each connection type is relative to each other connection type, choosing the group having the most constrained connection type which has not yet been routed, and routing each conductive path within the group chosen during the choosing operation.

  • Persistent unique and flexible object addressing mechanism for data in a part memory and part disk environment

    US

    A system for resolving object address. A computer-implemented method for resolving addresses in a computing system includes dynamically assigning a library identifier to a database stored on a secondary storage medium and obtaining a database identifier for each of a number of objects stored in the database, appending the library identifier to each of the database identifiers to generate a unique reference identifier (DRef) for each of the plurality of objects stored in the database. Upon…

    A system for resolving object address. A computer-implemented method for resolving addresses in a computing system includes dynamically assigning a library identifier to a database stored on a secondary storage medium and obtaining a database identifier for each of a number of objects stored in the database, appending the library identifier to each of the database identifiers to generate a unique reference identifier (DRef) for each of the plurality of objects stored in the database. Upon receiving an object request from an application, where the object request identifies one of the plurality of objects by its DRef, the method retrieves the object identified by the object request and stores the object identified by the object request in memory.

    See patent

Languages

  • English

    Native or bilingual proficiency

  • Hindi

    Full professional proficiency

  • Bengali

    Limited working proficiency

Recommendations received

23 people have recommended Pradiptya

Join now to view

View Pradiptya’s full profile

  • See who you know in common
  • Get introduced
  • Contact Pradiptya directly
Join to view full profile

Other similar profiles

Explore collaborative articles

We’re unlocking community knowledge in a new way. Experts add insights directly into each article, started with the help of AI.

Explore More

Others named Pradiptya Ghosh